首页 > 电源电路 >IGBT应用电路 > D型IPM的结构及IGBT等效电路

D型IPM的结构及IGBT等效电路

来源:互联网发布者:通通 更新时间: 2012/07/05

D型IPM的结构及IGBT等效电路
提问/讨论

这里还没有内容,您有什么问题吗?

我要提问/讨论

推荐帖子 最新更新时间:2025-01-15 17:50

数码管动态显示的VHDL程序【转】
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity led is port( clkfast:in std
 心仪FPGA/CPLD
GD32F芯片问题及解决分享
GD32F在有关初始化里,用库函数初始化分频系数,周期的 xxxx_init函数内,存在软件更新事件的代码,如果你不清除标志位,直接使能定时器中断,那么就会先进中断一次。导致有个别定时功能意外的激发。 再做LED点阵屏扫码程序时,发现对于08接口的单色屏扫16行大概,1ms就要
 火辣西米秀GD32 MCU
如何实现在禁用网卡的时候关闭电源,启用时候打开电源?
如题:平台是PXA270+CE5.0,因为网卡平时很少用,如何实现在禁用网卡的时候关闭电源,启用时候打开电源? 控制面板里的网络连接图标右键选择 禁用 ,完成了哪些操作?系统怎么调用底层函数? 如何实现在禁用网卡的时候关闭电源,启用时候打开电源? 可以在你的网卡驱动里边多加些调试
 z9x386嵌入式系统
求一个高频小信号放大电路,最好有电路图!!
本帖最后由 paulhyde 于 2014-9-15 09:23 编辑 高频管9018搭的放大电路,出现了强信号放大,小信号阻断的情况,而且产生了自激,求各位大虾提供一些高频小信号的放大电路,最好有电路图,谢谢!! 求一个高频小信号放大电路,最好有电路图!! 本帖最后由
 komoner0电子竞赛
爱上OpenCL的十个理由(转)
作为OpenCL CodeBench的开发者,Amdahl软件公司始终坚信OpenCL能够带来巨大的利益,也从未怀疑过OpenCL标准的成功性。现在人们对计算性能的要求越来越高,在不超过发热量和功耗的限制范围,我们相信多核和多核系统提供了一个可行的解决方法。对于OpenCL用
 白丁Altera SoC
发一个蓄电池2点电压监视保护图片和程序。
利用PIC12F683单片机监视管理。内部设定2个电压点,可以用串口软件设置高低电压点的值,回差系数也可以任意设置,参数全部写在单片机内部的EEROM中。采用10AD转换。! 有串口通讯功能,可以实时发送监测数据。 发一个蓄电池2点电压监视保护图片和程序。 #includ
 mon51Microchip MCU

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版 版权声明

EEWORLD 电路图 站点相关: 下载中心 Datasheet 参考设计

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved
  翻译: